CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog 流水线

搜索资源列表

  1. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线

    1下载:
  2. 能综合的YCrCb2RGB模块(verilog)_采用3级流水线,用fpga做小数运算,还有就是流水线技术 -can YCrCb2RGB integrated module (Verilog) _ used three lines, they simply do with fractional arithmetic, there is pipelining technology
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1080
    • 提供者:于飞
  1. add.rar

    0下载:
  2. 流水线乘法器与加法器 开发环境:Modelsim(verilog hdl),Multiplier and adder pipeline development environment: Modelsim (verilog hdl)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1340
    • 提供者:来法旧佛
  1. dlx_verilog.rar

    0下载:
  2. 这是我个人写的DLX处理器流水线的Verilog代码,在ModelSim中仿真通过,并且在ISE中能综合!即可以下载到FPGA中运行指令,指令可以根据需要定义,也可和相应的编译器配合使用,这里给学习流水线和Verilog的朋友共享。,This is my personal wrote DLX pipeline processor Verilog code, adopted in the ModelSim simulation and can be integrated in the ISE! T
  3. 所属分类:SCSI-ASPI

    • 发布日期:2017-03-29
    • 文件大小:9781
    • 提供者:李乔
  1. PipeLine.tar Verilog实现MIPS五段流水线

    4下载:
  2. Verilog实现MIPS五段流水线,22条指令(基本算术、移位和load、store指令),模块化设计,含注释-Verilog realization of five-stage pipeline MIPS 22 instructions (basic arithmetic, shift, and load, store instructions), modular design, with annotations
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-13
    • 文件大小:2929271
    • 提供者:czl
  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
  1. CPU

    1下载:
  2. verilog编写CPU: 1. 哈佛存储器结构,大端格式; 2. 类MIPS精简指令集,支持子程序调用和软中断; 3. 实现了乘除法; 4. 五级流水线,工作频率可达80MHz(每个时钟周期一条指令,不计流水线冲突)。 -MIPS like CPU using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-19
    • 文件大小:16978
    • 提供者:yk
  1. mult_piped_8x8

    0下载:
  2. 8位乘8位的流水线乘法器,采用Verilog hdl编写-8 x 8-bit pipelined multiplier, used to prepare Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897
    • 提供者:江浩
  1. CPU

    0下载:
  2. 32位5级流水线CPU设计指令系统、指令格式、寻址方式、寄存器结构、数据表示方式、存储器系统、运算器、控制器和流水线结构等-32bit pipeline CPU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:187339
    • 提供者:znl
  1. riscpu

    0下载:
  2. 一个32位微处理器的verilog实现源代脉,采用5级流水线和cache技术.-a 32 Microprocessor verilog achieve pulse generation sources, used five lines and cache technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:152895
    • 提供者:大为
  1. DES

    0下载:
  2. DES加密算法的VHDL实现,采用流水线技术实现-The VHDL implement of DES encrypt algorithmic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17718704
    • 提供者:Mr Yang
  1. H264

    3下载:
  2. h.264(verilog HDL) 这是基于流水线结构的H.264解码器源码-h.264 (verilog HDL) which is based on the pipeline structure of the H.264 decoder source code
  3. 所属分类:Special Effects

    • 发布日期:2017-03-27
    • 文件大小:99181
    • 提供者:陈成
  1. MIPS

    4下载:
  2. 带分支预测的MIPS流水线的verilog原代码。 详细介绍了流水线的设计代码-Branch prediction with the MIPS pipeline verilog source code. Details of pipeline design code
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2013-05-17
    • 文件大小:17294
    • 提供者:张鹤
  1. CPU_verilog

    1下载:
  2. 一个4级流水线CPU的verilog代码,供参考学习使用,有些语句不能综合,可以通过它学习CPU的工作原理。-A 4-stage pipeline CPU' s verilog code, learning to use for reference, some statements can not be integrated, you can learn from CPU through its works.
  3. 所属分类:Windows Develop

    • 发布日期:2016-07-15
    • 文件大小:63835
    • 提供者:xq
  1. cordic

    0下载:
  2. vhdl语言编写的cordic算法,实现了cordic的流水线运算。-cordic language vhdl algorithm cordic the pipeline operator.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:813
    • 提供者:lmy
  1. min

    0下载:
  2. verilog编写的基于并行流水线结构的16阶滤波器的实现-filter
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-10
    • 文件大小:1361864
    • 提供者:陈丽华
  1. fir

    0下载:
  2. 16阶FIR VHDL程序并附带testbench,并有简单流水线设计!-16 Tap FIR vhdl code with testbench and pipelining design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:351976
    • 提供者:hongwan
  1. arm7

    0下载:
  2. ARM7 VERILOG源码,非常精简,3级流水线-ARM7 VERILOG source code, very streamlined, 3-stage pipeline
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:173476
    • 提供者:hcq
  1. PipelineCPU

    0下载:
  2. 用Verilog实现一个简单的流水线CPU,并运行一个Quicksort程序。这是Berkley,eecs系的计算机系统结构课程实验的实验三。-This file is written in Verilog to achieve a simple pipeline CPU, which can run a Quicksort program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:28787
    • 提供者:Matgek
  1. cordic-verilog

    0下载:
  2. 用Verilog写的cordic相位鉴别,采用8级的流水线的硬件设计-Written using Verilog cordic phase identification, using 8-level hardware design of the pipeline
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1148
    • 提供者:朱子翰
  1. e55_mul_addtree

    0下载:
  2. 实现4位乘法器的流水线操作计算,便于理解流水线(The implementation of pipelined operation of 4 bit multiplier is convenient for understanding pipelining)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:105472
    • 提供者:yuanjingwei
« 12 3 4 5 6 »
搜珍网 www.dssz.com